Chailease Holding Co Ltd (LUX:CLHRS)
$ 24.70588 0 (0%) Market Cap: 8.15 Bil Enterprise Value: 27.12 Bil PE Ratio: 10.37 PB Ratio: 1.54 GF Score: 56/100

Q1 2020 Chailease Holding Company Ltd Earnings Call Transcript

May 12, 2020 / 09:30AM GMT
Release Date Price: $16.26 (-0.51%)
Operator

Welcome to the Chailease First Quarter 2020 Earnings Release Conference Call. (Operator Instructions) And as a reminder, this conference is being recorded. For your information, a webcast replay will be available within an hour after the conference is finished. And now I would like to turn the call over to the Kimberly Lian, Project Manager of the Chailease Holdings. And Ms. Lian, please go ahead.

Lian Jialin;Project Manager

Thank you, Jason. Hello, everyone. Thank you for joining us today for our first quarter 2020 results conference call. On our call this afternoon, I'm joined by Ms. Sharon Fan, Head of IR Department, and she will be open to your questions after my presentation. The presentation I'm giving today will be available for download on our official website at www.chaileaseholding.com.tw.

As a reminder, please refer to the disclaimer on Page 2 regarding the forward-looking statements. Our actual results may differ from such statements. Let's begin the

Already have an account? Log in
Get the full story
Access to All Earning Calls and Stock Analysis
30-Year Financial on one screen
All-in-one Stock Screener with unlimited filters
Customizable Stock Dashboard
Real Time Insider Trading Transactions
8,000+ Institutional investors’ 13F holdings
Powerful Excel Add-in and Google sheets Add-on
All data downloadable
Quick customer support
And much more...
30-Day 100% money back guarantee
You are not charged until the trial ends. Subscription fee may be tax deductible.
Excellent
4.6 out of 5 Trustpilot