Chailease Holding Co Ltd (LUX:CLHRS)
$ 24.70588 0 (0%) Market Cap: 8.15 Bil Enterprise Value: 27.12 Bil PE Ratio: 10.37 PB Ratio: 1.54 GF Score: 56/100

Q2 2022 Chailease Holding Company Ltd Earnings Call Transcript

Aug 26, 2022 / 09:00AM GMT
Release Date Price: $34.99 (+2.25%)
Operator

Welcome to the Chailease's Second Quarter 2022 Earnings Release Conference Call. (Operator Instructions) As a reminder, this conference is being recorded. And for your information, a webcast replay will be available within an hour after the conference is finished.

And now I would like to turn the call over to Vic Wang, Senior Manager of Investor Relations. Ms. Wang, please go ahead.

Vic Wang
Chailease Holding Company Limited - Senior Manager of IR

Thank you. Hi, good evening, everyone. This is Vic. I would like to welcome everyone to Chailease Holding Second Quarter 2022 Earnings Conference Call. With me this evening is Ms. Sharon Fan, Head of IR, and she will open to your question in Q&A period.

I will walk you through this quarter's earnings presentation, which is available for download on our corporate website under the IR section. As a reminder, please refer to the disclaimer regarding forward-looking statements in form of the presentation.

The agenda we are going to cover for today on Slide 3 includes management highlights

Already have an account? Log in
Get the full story
Access to All Earning Calls and Stock Analysis
30-Year Financial on one screen
All-in-one Stock Screener with unlimited filters
Customizable Stock Dashboard
Real Time Insider Trading Transactions
8,000+ Institutional investors’ 13F holdings
Powerful Excel Add-in and Google sheets Add-on
All data downloadable
Quick customer support
And much more...
30-Day 100% money back guarantee
You are not charged until the trial ends. Subscription fee may be tax deductible.
Excellent
4.6 out of 5 Trustpilot