Chailease Holding Co Ltd (TPE:5871)
NT$ 146 1 (0.69%) Market Cap: 240.83 Bil Enterprise Value: 837.94 Bil PE Ratio: 10.37 PB Ratio: 1.54 GF Score: 56/100

Q4 2019 Chailease Holding Company Ltd Earnings Call Transcript

Mar 24, 2020 / 09:30AM GMT
Release Date Price: NT$72.9 (+8.74%)
Operator

Welcome to the Chailease Fourth Quarter 2019 Earnings Release Conference Call. (Operator Instructions) And as a reminder, this conference is being recorded. For your information, a webcast replay will be available within an hour after the conference is finished.

And now I would like to turn the call over to Vic Wang, Manager of Investor Relations. And Mr. Wang, please go ahead.

Vic Wang
Chailease Holding Company Limited - Manager of IR

Thank you, operator. Hi. Good afternoon, everyone. I would like to welcome everyone to Chailease Holding Fourth Quarter and Full Year 2019 Earnings Conference Call. With me this evening is Ms. Sharon Fan, Head of IR, and she will open to your question in Q&A period. I will walk you through this quarter earnings presentation, which is available for download on our corporate website under the IR section.

As a reminder, please refer to the disclaimer regarding forward-looking statement at the front of the presentation. The agenda we are going to cover for today on Slide 3 includes management highlights,

Already have an account? Log in
Get the full story
Access to All Earning Calls and Stock Analysis
30-Year Financial on one screen
All-in-one Stock Screener with unlimited filters
Customizable Stock Dashboard
Real Time Insider Trading Transactions
8,000+ Institutional investors’ 13F holdings
Powerful Excel Add-in and Google sheets Add-on
All data downloadable
Quick customer support
And much more...
30-Day 100% money back guarantee
You are not charged until the trial ends. Subscription fee may be tax deductible.
Excellent
4.6 out of 5 Trustpilot