Chailease Holding Co Ltd (TPE:5871)
NT$ 146 1 (0.69%) Market Cap: 240.83 Bil Enterprise Value: 837.94 Bil PE Ratio: 10.37 PB Ratio: 1.54 GF Score: 56/100

Q2 2020 Chailease Holding Company Ltd Earnings Call Transcript

Aug 12, 2020 / 09:00AM GMT
Release Date Price: NT$107.93
Operator

Welcome, everyone, to Chailease Second Quarter 2020 Earnings Release Conference Call. (Operator Instructions) As a reminder, this conference is being recorded. And for your information, a webcast replay will be available within an hour after the conference ends.

Now I would like to turn the call over to Ms. Kimberly Lian, Project Manager of Chailease Holding. Ms. Lian, please go ahead.

Lian Jialin
Chailease Holding Company Limited - IR Officer

Thank you. Hello, everyone. Thank you for joining us today for our second quarter 2020 result conference call. On our call this afternoon, I am joined by Ms. Sharon Fan, Head of IR Department, and she will be open to your questions after my presentation. The presentation I'm giving today will be available for download on our official website at www.chaileaseholding.com.tw.

As a reminder, please refer to the disclaimer on Page 2 regarding forward-looking statements. Our actual results may differ from such statements.

Already have an account? Log in
Get the full story
Access to All Earning Calls and Stock Analysis
30-Year Financial on one screen
All-in-one Stock Screener with unlimited filters
Customizable Stock Dashboard
Real Time Insider Trading Transactions
8,000+ Institutional investors’ 13F holdings
Powerful Excel Add-in and Google sheets Add-on
All data downloadable
Quick customer support
And much more...
30-Day 100% money back guarantee
You are not charged until the trial ends. Subscription fee may be tax deductible.
Excellent
4.6 out of 5 Trustpilot